GHDL package for Debian Wheezy

Unofficial Debian packages for GHDL are available from Sourceforge.
Look here for GHDL-0.31 packages for Debian 7.4 (Wheezy):
http://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.31/Debian/

The latest version of my (unofficial) Debian packaging files are on Github:
https://github.com/jorisvr/ghdl_debian

It would be great if GHDL could be an official Debian package again, but unfortunately there are copyright issues with VHDL libraries as discussed here:
GHDL mailing list 2014-02-10
Debian bug #403621

Background

GHDL is a free-software simulator for the VHDL language. GHDL is based on GCC; it acts as a compiler front-end, adding VHDL as a supported programming language. Installing GHDL from source can be pretty difficult, because GHDL must be added to the GCC source code and compiled as part of GCC.

Until recently (up to Debian 6.0), GHDL was available as a Debian package. But due to maintenance problems, the GHDL package was recently removed from Debian. As a result, Debian Wheezy does not contain a GHDL package.

Further information

The latest version of the GHDL source code can be found on SourceForge:
http://sourceforge.net/projects/ghdl-updates/

A good place to discuss GHDL and Debian packages is the GHDL mailing list:
https://mail.gna.org/listinfo/ghdl-discuss/